CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 7-seg

搜索资源列表

  1. seg

    0下载:
  2. 7段数码管显示的VHDL语言,适合初学者用,相当不错的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:799
    • 提供者:土波
  1. scan_LED

    0下载:
  2. 1) 输入设备为4*4矩阵键盘,分别代表0~F; 2) 输出设备为四位数码显示管,初始值显示0000,当按下某一键时,最右边的一位数码显示管显示最新一次所按按键的数值,而之前的显示值左移,例如,第一次按‘1’键,则显示0001;第二次按‘3’键,则显示0013;第三次按‘5’键,则显示0135;第四次按‘7’键,则显示1357;第五次按‘9’键,则显示3579,第四次按‘F’键,则显示579F-1) input device for the 4* 4 matrix keyboard,
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:199845
    • 提供者:王广玉
  1. 7-LED

    0下载:
  2. 如题目所示,将二进制码转换为7位LED显示-Such as the title indicates, will be converted into binary code 7 LED display
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-09
    • 文件大小:688
    • 提供者:wxw_s_life
  1. 7-seg-display

    0下载:
  2. 数码管动态显示程序,使用VHDL编写的程序,可移植性好-Digital dynamic display program, the use of VHDL procedures, portability
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:990
    • 提供者:lsw
  1. clavier 7 seg

    0下载:
  2. clavier 7 seg code in vhdl
  3. 所属分类:其它资源

    • 发布日期:2013-10-19
    • 文件大小:959
    • 提供者:salimFuzzy
  1. Top_LED_TUBE

    0下载:
  2. It is a timer VHDL code. The outputs are designed for 7-seg display.
  3. 所属分类:Project Design

    • 发布日期:2017-04-13
    • 文件大小:2482
    • 提供者:Mahpa
搜珍网 www.dssz.com